Model { Name "AM_Coherent" Version 6.5 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.34" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" SaveDefaultBlockParams on SampleTimeColors off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" BlockNameDataTip off BlockParametersDataTip on BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off Created "Sun Sep 17 12:54:58 2006" Creator "jfaing" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "dsp" ModifiedDateFormat "%" LastModifiedDate "Thu Jul 24 11:42:17 2008" ModelVersionFormat "1.%" ConfigurationManager "None" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ProdHWDeviceType "TI C6000" ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.2.0" Array { Type "Handle" Dimension 7 Simulink.SolverCC { $ObjectID 2 Array { Type "Cell" Dimension 1 Cell "PositivePriorityOrder" PropName "DisabledProps" } Version "1.2.0" StartTime "0.0" StopTime "inf" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" Solver "FixedStepDiscrete" SolverName "FixedStepDiscrete" ZeroCrossControl "UseLocalSettings" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder on AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" RateTranMode "Deterministic" } Simulink.DataIOCC { $ObjectID 3 Version "1.2.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveFormat "Array" SaveOutput off SaveState off SignalLogging on InspectSignalLogs off SaveTime off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" OutputOption "RefineOutputTimes" OutputTimes "[]" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Version "1.2.0" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnforceIntegerDowncast on ExpressionFolding on FoldNonRolledExpr on LocalBlockOutputs on ParameterPooling on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off OptimizeModelRefInitCode on LifeSpan "inf" BufferReusableBoundary on } Simulink.DebuggingCC { $ObjectID 5 Version "1.2.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" CheckSSInitialOutputMsg on CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "warning" MultiTaskCondExecSysMsg "none" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" ModelReferenceSimTargetVerbose off UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StrictBusMsg "Warning" } Simulink.HardwareCC { $ObjectID 6 Version "1.2.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 40 ProdIntDivRoundTo "Zero" ProdEndianess "LittleEndian" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "TI C6000" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.2.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" ModelReferenceNumInstancesAllowed "Multi" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 8 Array { Type "Cell" Dimension 1 Cell "GenCodeOnly" PropName "DisabledProps" } Version "1.2.0" SystemTargetFile "ti_c6000_ert.tlc" GenCodeOnly on MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "ti_c6000_ert.tmf" Description "Embedded Target for TI C6000 DSP (ERT)" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ProcessScript "ti_c6000_ert_make_rtw_hook" ConfigAtBuild off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime on Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 9 Version "1.2.0" ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off IncDataTypeInIds off PrefixModelToSubsysFcnNames on MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off SimulinkBlockComments on EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off } TIC6000TgtPkg.C6000ERTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 10 Array { Type "Cell" Dimension 2 Cell "GenerateSampleERTMain" Cell "FPopt" PropName "DisabledProps" } Version "1.2.0" TargetFcnLib "ansi_tfl_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "ANSI_C" UtilityFuncGeneration "Auto" GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on IncludeMdlTerminateFcn on CombineOutputUpdateFcns on SuppressErrorStatus off IncludeFileDelimiter "Auto" ERTCustomFileBanners on SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging off MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime off SupportNonInlinedSFcns off EnableShiftOperators on ParenthesesLevel "Nominal" GenerateErtSFunction off GenerateASAP2 off ExtMode off ExtModeTransport 0 ExtModeStaticAlloc off ExtModeStaticAllocSize 1000000 ExtModeTesting off ExtModeMexFile "ext_comm" InlinedParameterPlacement "NonHierarchical" TargetOS "BareBoardExample" MultiInstanceErrorCode "Error" RateGroupingCode on RootIOFormat "Individual arguments" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off ERTSrcFileBannerTemplate "ert_code_template.cgt" ERTHdrFileBannerTemplate "ert_code_template.cgt" ERTDataSrcFileTemplate "ert_code_template.cgt" ERTDataHdrFileTemplate "ert_code_template.cgt" ERTCustomFileTemplate "example_file_process.tlc" ModuleNamingRule "Unspecified" SignalDisplayLevel 10 ParamTuneLevel 10 GlobalDataDefinition "Auto" DataDefinitionFile "global.c" GlobalDataReference "Auto" DataReferenceFile "global.h" GRTInterface off EnableUserReplacementTypes off Array { Type "Struct" Dimension 1 MATStruct { double "" single "" int32 "" int16 "" int8 "" uint32 "" uint16 "" uint8 "" boolean "" int "" uint "" char "" } PropName "ReplacementTypes" } MemSecPackage "--- None ---" MemSecDataConstants "Default" MemSecDataIO "Default" MemSecDataInternal "Default" MemSecDataParameters "Default" MemSecFuncInitTerm "Default" MemSecFuncExecute "Default" exportCCSObj on ccsObjName "CCS_Obj" useDSPBIOS on ProfileGenCode off InlineDSPBlks on FPopt off memModel "Far_Aggregate" optLevel "Function(-o2)" CompilerVerbosity "Quiet" InterruptThreshOnC6x off SymbolicDebugOnC6x off RetainAsmFiles off RetainObjFiles on CreateMapFile on UserStackSize 8192 c6000BuildAction "Build" OverrunAction "Notify_and_continue" OverrunNotificationMethod "Turn_on_LEDs" } PropName "Components" } } PropName "Components" } Name "Configuration" SimulationMode "normal" ExtraOptions "-aUserStackSize=8192 " CurrentDlgPage "Real-Time Workshop/TIC6000 Code Generation" } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } BlockDefaults { Orientation "right" ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Arial" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on } BlockParameterDefaults { Block { BlockType ComplexToMagnitudeAngle Output "Magnitude and angle" SampleTime "-1" } Block { BlockType ComplexToRealImag Output "Real and imag" SampleTime "-1" } Block { BlockType Concatenate NumInputs "2" } Block { BlockType Constant } Block { BlockType FrameConversion OutFrame "Frame based" } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParameterDataTypeMode "Same as input" ParameterDataType "sfix(16)" ParameterScalingMode "Best Precision: Matrix-wise" ParameterScaling "2^0" OutDataTypeMode "Same as input" OutDataType "sfix(16)" OutScaling "2^0" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Inport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off Interpolate on } Block { BlockType Outport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Product Inputs "2" Multiplication "Element-wise(.*)" InputSameDT on OutDataTypeMode "Same as first input" OutDataType "sfix(16)" OutScaling "2^0" LockScale off RndMeth "Zero" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType RealImagToComplex Input "Real and imag" ConstantPart "0" SampleTime "-1" } Block { BlockType Selector IndexMode "One-based" InputPortWidth "-1" OutputPortSize "1" } Block { BlockType "S-Function" FunctionName "system" SFunctionModules "''" PortCounts "[]" } Block { BlockType SubSystem ShowPortLabels on Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" } Block { BlockType Sum IconShape "rectangular" Inputs "++" InputSameDT on OutDataTypeMode "Same as first input" OutDataType "sfix(16)" OutScaling "2^0" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Trigonometry Operator "sin" OutputSignalType "auto" SampleTime "-1" } } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Arial" FontSize 10 FontWeight "normal" FontAngle "normal" } LineDefaults { FontName "Arial" FontSize 9 FontWeight "normal" FontAngle "normal" } System { Name "AM_Coherent" Location [0, 74, 1012, 744] Open on ModelBrowserVisibility off ModelBrowserWidth 212 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" Block { BlockType Reference Name "ADC" Ports [0, 1] Position [15, 283, 105, 327] SourceBlock "c6713dsklib/ADC" SourceType "C6713DSK ADC" ADCsource "Line In" MicGain off Stereo on SampleRate "96 kHz" CodecDataFormat "16-bit" OutputDataType "Single" Scaling "Normalize" SourceGain " 0.0" FrameSize "64" inheritSampleTime off } Block { BlockType Sum Name "Add" Ports [2, 1] Position [490, 106, 520, 139] InputSameDT off OutDataTypeMode "Inherit via internal rule" OutScaling "2^-10" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "C6713DSK" Tag "C6000 TARGET PREFERENCES BLOCK" Ports [] Position [830, 515, 896, 560] UserDataPersistent on UserData "DataTag0" SourceBlock "c6000tgtpreflib/C6713DSK" SourceType "C6000 Target Preferences" ShowPortLabels on SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" } Block { BlockType SubSystem Name "Configurable Carrier Generator" Ports [0, 1] Position [275, 305, 365, 365] MinAlgLoopOccurrences off RTWSystemCode "Auto" FunctionWithSeparateData off MaskHideContents off System { Name "Configurable Carrier Generator" Location [246, 74, 1031, 365] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Sum Name "Add1" Ports [2, 1] Position [380, 67, 410, 98] InputSameDT off OutDataTypeMode "Inherit via internal rule" OutScaling "2^-10" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "Complex\nExponential" Ports [1, 1] Position [450, 67, 495, 103] ShowName off SourceBlock "dspmathops/Complex\nExponential" SourceType "Complex Exponential" ShowPortLabels on SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" } Block { BlockType ComplexToMagnitudeAngle Name "Complex to\nMagnitude-Angle" Ports [1, 1] Position [410, 160, 440, 190] Orientation "left" NamePlacement "alternate" Output "Angle" } Block { BlockType ComplexToRealImag Name "Complex to\nReal-Imag" Ports [1, 1] Position [605, 70, 635, 100] Output "Real" } Block { BlockType Constant Name "Constant" Position [25, 121, 105, 159] Value "1/96000*[1:64]'" VectorParams1D off SamplingMode "Frame based" OutDataTypeMode "single" OutDataType "sfix(16)" ConRadixGroup "Use specified scaling" OutScaling "2^0" SampleTime "0.001" FramePeriod "64/96000" } Block { BlockType Reference Name "Delay" Ports [1, 1] Position [335, 155, 390, 195] Orientation "left" NamePlacement "alternate" SourceBlock "dspsigops/Delay" SourceType "Delay" dly_unit "Samples" delay "1" ic_detail off dif_ic_for_ch off dif_ic_for_dly off ic "0" reset_popup "None" } Block { BlockType Reference Name "From RTDX1" Ports [0, 1] Position [15, 35, 80, 95] BackgroundColor "orange" ShowName off SourceBlock "rtdxBlocks/From RTDX" SourceType "From RTDX" channelNameStr "freq" isBlocking off icMaskVar "1" Ts "-1" dims "[1 1]" isFrame off dType "single" isChannelEnabled on isHighSpeedRTDXUsed on } Block { BlockType Gain Name "Gain" Position [175, 42, 225, 88] Gain "2*pi" ParameterDataTypeMode "Inherit via internal rule" OutDataTypeMode "Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain1" Position [105, 42, 155, 88] Gain "10000" ParameterDataTypeMode "Inherit via internal rule" OutDataTypeMode "Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product" Ports [2, 1] Position [275, 57, 305, 88] InputSameDT off OutDataTypeMode "Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Selector Name "Selector" Ports [1, 1] Position [465, 156, 505, 194] Orientation "left" NamePlacement "alternate" InputType "Vector" ElementSrc "Internal" Elements "[64]" RowSrc "Internal" Rows "1" ColumnSrc "Internal" Columns "1" InputPortWidth "64" IndexIsStartValue off } Block { BlockType Outport Name "sin" Position [730, 78, 760, 92] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Gain1" SrcPort 1 DstBlock "Gain" DstPort 1 } Line { SrcBlock "Complex to\nReal-Imag" SrcPort 1 DstBlock "sin" DstPort 1 } Line { SrcBlock "Add1" SrcPort 1 DstBlock "Complex\nExponential" DstPort 1 } Line { SrcBlock "Product" SrcPort 1 DstBlock "Add1" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [-10, 0; 0, -85] DstBlock "Add1" DstPort 2 } Line { SrcBlock "Complex to\nMagnitude-Angle" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Selector" SrcPort 1 DstBlock "Complex to\nMagnitude-Angle" DstPort 1 } Line { SrcBlock "Complex\nExponential" SrcPort 1 Points [20, 0] Branch { Points [5, 0; 0, 90] DstBlock "Selector" DstPort 1 } Branch { DstBlock "Complex to\nReal-Imag" DstPort 1 } } Line { SrcBlock "Gain" SrcPort 1 DstBlock "Product" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 Points [110, 0; 0, -60] DstBlock "Product" DstPort 2 } Line { SrcBlock "From RTDX1" SrcPort 1 DstBlock "Gain1" DstPort 1 } Annotation { Name "keep history of last phase value calculated" " \nto offset next ramp" Position [409, 227] UseDisplayTextAsClickCallback off } Annotation { Name "s = exp(j*2*pi*f*t + ph)" Position [320, 23] UseDisplayTextAsClickCallback off } Annotation { Name "generate ramp at sample rate\nwith right sa" "mple increment" Position [86, 199] UseDisplayTextAsClickCallback off } Annotation { Name "Frame size: 64\nSample Freq: 96kHz" Position [57, 264] UseDisplayTextAsClickCallback off } } } Block { BlockType Reference Name "DAC" Ports [1] Position [895, 273, 985, 317] SourceBlock "c6713dsklib/DAC" SourceType "C6713DSK DAC" CodecDataFormat "16-bit" SampleRate "96 kHz" Scaling "Normalize" DacAtten " 0.0" OverflowMode "Wrap" } Block { BlockType Reference Name "DSP\nConstant1" Ports [0, 1] Position [575, 479, 610, 511] SourceBlock "dspsrcs4/DSP\nConstant" SourceType "DSP Constant" ShowPortLabels on SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" Value "0.5" SampleMode "Discrete" discreteOutput "Frame-based" continuousOutput "Sample-based" sampTime "1/96000" framePeriod "-1" additionalParams off allowOverrides on dataType "single" isSigned on wordLen "16" udDataType "sfix(16)" fracBitsMode "Best precision" numFracBits "15" InterpretAs1D "-inf" Ts "-inf" FramebasedOutput "-inf" } Block { BlockType Reference Name "DSP\nConstant2" Ports [0, 1] Position [360, 44, 395, 76] SourceBlock "dspsrcs4/DSP\nConstant" SourceType "DSP Constant" ShowPortLabels on SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" Value "0.5" SampleMode "Discrete" discreteOutput "Frame-based" continuousOutput "Sample-based" sampTime "1/96000" framePeriod "-1" additionalParams off allowOverrides on dataType "single" isSigned on wordLen "16" udDataType "sfix(16)" fracBitsMode "Best precision" numFracBits "15" InterpretAs1D "-inf" Ts "-inf" FramebasedOutput "-inf" } Block { BlockType Concatenate Name "Duplicate\nMono Channel1" Ports [2, 1] Position [825, 274, 880, 316] Mode "Horizontal matrix concatenation" } Block { BlockType Reference Name "From RTDX" Ports [0, 1] Position [290, 105, 380, 145] BackgroundColor "orange" ShowName off SourceBlock "rtdxBlocks/From RTDX" SourceType "From RTDX" channelNameStr "InputModulation" isBlocking off icMaskVar "1" Ts "-1" dims "[1 1]" isFrame off dType "single" isChannelEnabled on isHighSpeedRTDXUsed on } Block { BlockType Gain Name "Gain=1" Position [520, 195, 550, 225] ParameterDataTypeMode "Inherit via internal rule" OutDataTypeMode "Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain=2" Position [610, 435, 640, 465] Gain "2" ParameterDataTypeMode "Inherit via internal rule" OutDataTypeMode "Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "LPF" Tag "BlockMethodSubSystem" Ports [1, 1] Position [480, 430, 555, 470] DialogController "dspdialog.DigitalFilter" DialogControllerArgs "DataTag1" SourceBlock "dsparch4/Digital Filter" SourceType "Digital Filter" TypePopup "FIR (all zeros)" IIRFiltStruct "Direct form II transposed" AllPoleFiltStruct "Direct form" FIRFiltStruct "Direct form" CoeffSource "Specify via dialog" NumCoeffs "[0.000488939681944421 0.00172830941349848 0.003" "95876781732765 0.00679313323619244 0.00907869940855358 0.00915670414650422 0." "0056785418076704 -0.00132324324390355 -0.00975074336536299 -0.015803773055259" "3 -0.0156105347479998 -0.00756349568140769 0.00588396633058039 0.018295953162" "7658 0.021796977679317 0.0113171156250792 -0.0114696244186289 -0.036873239351" "2304 -0.0499720675038943 -0.036861279612986 0.00824101524106748 0.07853987544" "92806 0.155494554858895 0.21517691742281 0.237647742263117 0.21517691742281 0" ".155494554858895 0.0785398754492806 0.00824101524106748 -0.036861279612986 -0" ".0499720675038943 -0.0368732393512304 -0.0114696244186289 0.0113171156250792 " "0.021796977679317 0.0182959531627658 0.00588396633058039 -0.00756349568140769" " -0.0156105347479998 -0.0158037730552593 -0.00975074336536299 -0.001323243243" "90355 0.0056785418076704 0.00915670414650422 0.00907869940855358 0.0067931332" "3619244 0.00395876781732765 0.00172830941349848 0.000488939681944421]" DenCoeffs "[1 0.1]" BiQuadCoeffs "[1 0.3 0.4 1 0.1 0.2]" LatticeCoeffs "[0.2 0.4]" denIgnore on FiltPerSampPopup "One filter per frame" IC "0" ICnum "0" ICden "0" additionalParams off allowOverrides on showCoeff off firstCoeffMode "Same word length as input" firstCoeffWordLength "16" firstCoeffFracLength "15" secondCoeffMode "Same as numerator" secondCoeffWordLength "16" secondCoeffFracLength "15" thirdCoeffMode "Same as input" thirdCoeffWordLength "16" thirdCoeffFracLength "15" showOut off outputMode "Same as accumulator" outputWordLength "16" outputFracLength "15" showAcc off accumMode "Same as product output" accumWordLength "32" accumFracLength "30" showMpy off prodOutputMode "Same as input" prodOutputWordLength "32" prodOutputFracLength "30" showMem off memoryMode "Same as accumulator" memoryWordLength "16" memoryFracLength "15" roundingMode "Floor" overflowMode off ScaleValues "1" scaleValueFracLength "14" tapSumMode "Same as input" tapSumWordLength "32" tapSumFracLength "30" stageIOMode "Same as input" stageIOWordLength "16" stageInFracLength "15" stageOutFracLength "15" LockScale off FilterSource "Specify via dialog" dfiltObjectName "dfilt.dffir([1 2 1])" multiplicandMode "Same as output" multiplicandWordLength "32" multiplicandFracLength "30" } Block { BlockType Product Name "Multiply" Ports [2, 1] Position [610, 142, 640, 173] Inputs "**" OutDataTypeMode "Inherit via internal rule" OutScaling "2^-10" RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Multiply1" Ports [2, 1] Position [420, 432, 450, 463] Inputs "**" OutDataTypeMode "Inherit via internal rule" OutScaling "2^-10" RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Multiply2" Ports [2, 1] Position [425, 97, 455, 128] Inputs "**" OutDataTypeMode "Inherit via internal rule" OutScaling "2^-10" RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "Multiport\nSelector" Ports [1, 2] Position [135, 283, 215, 327] SourceBlock "dspindex/Multiport\nSelector" SourceType "Multiport Selector" rowsOrCols "Columns" idxCellArray "{ 1,2 }" idxErrMode "Clip Index" } Block { BlockType Sum Name "Sub" Ports [2, 1] Position [675, 456, 705, 489] Inputs "+-" InputSameDT off OutDataTypeMode "Inherit via internal rule" OutScaling "2^-10" SaturateOnIntegerOverflow off } Block { BlockType SubSystem Name "background1" Ports [] Position [287, 394, 724, 598] BackgroundColor "[0.752941, 0.752941, 0.752941]" ShowName off AttributesFormatString "AM Receiver" FontSize 20 MinAlgLoopOccurrences off RTWSystemCode "Auto" FunctionWithSeparateData off MaskHideContents off MaskDisplay "disp('')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "background1" Location [209, 325, 575, 532] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" } } Block { BlockType SubSystem Name "background2" Ports [] Position [282, 24, 720, 250] BackgroundColor "[0.749020, 0.749020, 0.749020]" ShowName off AttributesFormatString "AM Transmitter" FontSize 20 MinAlgLoopOccurrences off RTWSystemCode "Auto" FunctionWithSeparateData off MaskHideContents off MaskDisplay "disp('')" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "background2" Location [209, 325, 575, 532] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" } } Line { SrcBlock "Configurable Carrier Generator" SrcPort 1 Points [25, 0] Branch { Points [0, 120] DstBlock "Multiply1" DstPort 2 } Branch { Points [95, 0; 0, -125] DstBlock "Gain=1" DstPort 1 } } Line { SrcBlock "Multiply1" SrcPort 1 DstBlock "LPF" DstPort 1 } Line { SrcBlock "Gain=1" SrcPort 1 Points [10, 0; 0, -45] DstBlock "Multiply" DstPort 2 } Line { SrcBlock "ADC" SrcPort 1 DstBlock "Multiport\nSelector" DstPort 1 } Line { SrcBlock "Duplicate\nMono Channel1" SrcPort 1 DstBlock "DAC" DstPort 1 } Line { SrcBlock "Gain=2" SrcPort 1 Points [15, 0] DstBlock "Sub" DstPort 1 } Line { SrcBlock "DSP\nConstant1" SrcPort 1 Points [45, 0] DstBlock "Sub" DstPort 2 } Line { SrcBlock "Multiport\nSelector" SrcPort 2 Points [15, 0; 0, 125] DstBlock "Multiply1" DstPort 1 } Line { SrcBlock "Add" SrcPort 1 Points [40, 0; 0, 25] DstBlock "Multiply" DstPort 1 } Line { SrcBlock "Multiport\nSelector" SrcPort 1 Points [130, 0; 0, -135; 125, 0] DstBlock "Add" DstPort 2 } Line { SrcBlock "LPF" SrcPort 1 DstBlock "Gain=2" DstPort 1 } Line { SrcBlock "Sub" SrcPort 1 Points [50, 0; 0, -170] DstBlock "Duplicate\nMono Channel1" DstPort 2 } Line { SrcBlock "DSP\nConstant2" SrcPort 1 Points [0, 45] DstBlock "Multiply2" DstPort 1 } Line { SrcBlock "Multiply2" SrcPort 1 DstBlock "Add" DstPort 1 } Line { SrcBlock "From RTDX" SrcPort 1 Points [0, -5] DstBlock "Multiply2" DstPort 2 } Line { SrcBlock "Multiply" SrcPort 1 Points [80, 0; 0, 125] DstBlock "Duplicate\nMono Channel1" DstPort 1 } Annotation { Position [522, 393] UseDisplayTextAsClickCallback off } Annotation { Position [841, 336] UseDisplayTextAsClickCallback off } Annotation { Position [392, 203] UseDisplayTextAsClickCallback off } Annotation { Position [516, 206] UseDisplayTextAsClickCallback off } } } MatData { NumRecords 2 DataRecord { Tag DataTag1 Data " %)30 . , 8 ( ! % " "\" $ \" 0 0 ( 6UT " } DataRecord { Tag DataTag0 Data " %)30 . :\"T 8 ( @ % " "\" $ ! 0 % 0 #@ $ \"H 8VAI<$EN9F\\ !M96T " " &-A8VAE 8V-S0F]AF4 &-O;G1E;G1S '-P86-E #@ ' ! & \" " "( !0 @ ! 0 $ !0 $ P ! , &-R96%T94AE87 " " &AE87!3:7IE &1E9FEN94QA8F5L &AE87!,86)E; X X !@ @ & " " 4 ( 0 $ ! D ( . . 8 ( " " !@ % \" $ ! 0 ) \" '! #@ #@ " " & \" 8 !0 @ ! 0 $ \"0 @ " " X ! !@ @ $ 4 ( 0 P ! ! , " "F4 !C;VYT96YT

F4 9&5F:6YE3&%B9" "6P :&5A<$QA8F5L #@ #@ & \" 8 !0 @ ! 0 $ " " \"0 @ #P/PX X !@ @ & 4 ( 0 " " $ ! D ( $$. . 8 ( !@ % \"" " $ ! 0 ) \" / _#@ $ & \" 0 " " !0 @ ! # $ $ P !%6%1%4DY!3$A%05 #@ #@ " " & \" 0 !0 @ ! !0 $ $ 4 !31%)!30 " " X X !@ @ & 4 ( 0 $ ! D ( " " X$$. . 8 ( !@ % \" $ ! 0 ) " " \" &!!#@ $ & \" 0 !0 @ ! \"P $ " " $ L !#;V1E(\"8@1&%T80 #@ #@ & \" 0 !0 " " @ ! \" $ $ @ !%34E&($-%, X X !@ @ & " " 4 ( 0 $ ! D ( \\#\\. . 8 " " ( !@ % \" $ ! 0 ) \" #@ " " @, & \" ( !0 @ ! 0 $ !0 $ P ! &" " '-E8W1I;VX &YU;5-E8W1I;VYS X !P\"P !@ @ \" 4 (" " 0 L ! 4 ! , 0 # !N86UE !P;&%C96UE;G0 " " !D97-CF5D('9A7-M96T #@ #@ & " " \" 0 !0 @ ! !0 $ $ 4 !31%)!30 X " "!0 !@ @ $ 4 ( 0 !L ! ! ; 365M;W)" "Y(&9O 0 0 '@ $134\"]\"24]3(&EN:71I86QI>F%T:6]N('1A8FQE<" "P #@ # & \" 0 !0 @ ! ! $ $ $ $E20" "4T. , 8 ( ! % \" $ $ 0 0 0 1&%T8" "0X X !@ @ $ 4 ( 0 @ ! ! ( +G" "1R8V1A=&$. 4 8 ( ! % \" $ ? 0 0 " " 'P %120R!M87-K('9A%]T97AT #@ $@ & \" 0 " " !0 @ ! % $ $ !0 !#;V1E(&9OF4 . . 8 ( !@ % \" " " $ ! 0 ) \" / _#@ #@ & \" 0 " " !0 @ ! !0 $ $ 4 V-\"!K8@ X ! !@ @ " " $ 4 ( 0 D ! ! ) 0S8W,3,@1%-+ X" " X !@ @ $ 4 ( 0 4 ! ! % 0U!5" "7S$ . . 8 ( ! % \" $ ( 0 0 " "\" $,V-S$S1%-+#@ # & \" D\" !0 @ ! 0 $ " " @ ! . , 8 ( \"0( % \" $ ! 0 " " \" $ X P !@ @ $ 4 ( 0 , ! " " ! P R+C #@ \"@\" & \" ( !0 @ ! 0 $ " " !0 $ X ! *@ &5N86)L90 5%-+ !S8VAE9'5L97)4" ">7!E X P !@ @ $ 4 ( 0 ( ! " "! @!O;@ #@ #@! & \" ( !0 @ ! 0 $ !" "0 $ !< ! 10 '-T86-K4VEZ90 6YA;6EC4W1A8VM-96U396=M96YT X X !@ @ & 4 " "( 0 $ ! D ( L$ . , 8 ( ! " " % \" $ $ 0 0 0 25)!30X X !@ @ $ " " 4 ( 0 4 ! ! % 4T1204T . 0 8 ( !" " % \" $ - 0 0 #0 %)A=&4@<')I;W)I='D . " " L 8 ( @ % \" $ ! 0 % 0 !P $ " " . 97)R260 &5RF5&=6YC=&EO;G, =&5R;6EN871E1G5N8W1I;VY" "S #@ \"@ & \" $ !0 @ $ #@" " \"@ & \" $ !0 @ $ #@ \"@ &" " \" $ !0 @ $ #@ \"@ & \" " "$ !0 @ $ #@ \"@ & \" $ !" "0 @ $ " } }